KLA: Weathering COVID-19 Headwinds Better Than Any Peer In 2020 – KLA Corporation (NASDAQ:KLAC)

Introduction

In many of the upgrades and downgrades of semiconductor equipment stocks, analysts invariably lump together the top three U.S. companies – Applied Materials (AMAT), Lam Research (LRCX), and KLA (KLAC).

For example:

  • Goldman Sachs analyst Toshiya Hari turned bullish on the semiconductor capital equipment sector on July 22, 2019. Hari upgraded Applied Materials and Lam Research to buy from neutral, while KLA was upgraded to neutral from sell. Applied Materials was also added to Goldman Sachs’ ‘Conviction Buy’ list.
  • C.J. Muse upgraded Lam Research, Applied Materials, and KLA on September 3, 2019, each to Outperform from In Line.
  • UBS cut Applied Materials and Lam Research from Neutral to Sell on November 21, 2019. Peer KLA got a two-notch downgrade from Buy to Sell.

It’s not surprising then that the stock prices have also moved in tandem, as shown in Chart 1 for the 2012-2020 period.

Chart 1

In contrast, Chart 2 shows YoY revenue changes for the three companies over the same period, along with the total wafer front end (WFE) revenues. These revenues are for semiconductor equipment only and do not include service or spare parts.

Chart 2

There are several important things to note from this chart.

YoY revenue change for AMAT (blue line) is nearly identical to total WFE (black line), with peaks, valleys, and inflection points nearly coinciding. This indicates that starting in 2014, AMAT’s revenues have mirrored the revenue changes in the overall industry.

YoY revenue changes of LRCX (orange line) also coincides with the total WFE market with respect to peaks, valleys, and inflection points starting in 2013, but LRCX outperforming WFE and AMAT each year since 2013.

KLAC’s YoY revenues (yellow line) starting in 2013 show little correlation with the WFE, AMAT, or LRCX except for a muted correlation in 2014 and 2015. This also suggests that KLAC’s revenues and hence equipment demand is less cyclical with time than the WFE market, AMAT, and LRCX.

Two Caveats:

  • KLAC’s revenue change of +17.9% in 2019 also reflects the acquisition of Orbotech (NASDAQ:ORBK). Excluding the acquisition, KLAC’s revenues would have grown 2.2% in 2019.
  • AMAT’s revenues decreased -4.2% in 2019 versus -2.2% in 2018, clearly better than LRCX or WFE. However, revenue change is deceptive because of AMAT’s different accounting method in 2019, reprofiling $331 million from CY2018 into CY2019, which I noted in an August 19, 2019, Seeking Alpha article entitled “Applied Materials: It Was Worse Than It Appears.

Why is KLAC Different

Capacity Purchases

When a customer makes capacity purchases to expand production of a particular chip, it buys more of the same equipment to increase capacity. With COVID-19 headwinds negatively impacting semiconductor sales, overcapacity will increase in 2020, minimizing the need for customers to buy more equipment to increase capacity.

Metrology/inspection equipment, particularly inspection equipment, does not operate at 100% capacity utilization. While measurements are needed in all aspects of the 400-600 steps in semiconductor manufacturing, not all the wafers are measured. This contracts with equipment from AMAT and LRCX where every wafer is processed.

For semiconductor Defect Inspection, typically just 10% to 33% of lots are inspected, and of those, only 1-5 wafers per lot. Thus, a semiconductor company may buy more deposition or etching systems but may buy fewer metrology/inspection systems during a period of capacity purchases of equipment to make more chips of the same design.

Technology Purchases

On the other hand, and this is the benefit to KLAC, when a semiconductor manufacturer moves to a new technology node, say from 10nm to 7nm, it would buy more sophisticated deposition or etch equipment for only the “critical mask layers” needed. They don’t replace all the equipment in a fab, just the equipment needed to meet the 7nm requirements for the new node.

Semiconductor manufacturers some years ago moved from CMOS to FinFETs, and now are attempting to improve these in 2020. For example, Samsung (OTC:SSNLF) and GlobalFoundries are hoping to deliver nanosheet FETs as a successor to FinFET, while TSMC (TSM) is exploring both nanosheets and nanowires.

Each of these advanced technologies will dictate the need for advanced metrology/inspection equipment to measure the smaller dimensions or to assure high yields with new designs.

Investor Takeaway

I wrote this article to point out to readers that KLAC, AMAT, and LRCX are often upgraded or downgraded together as a sector, which should not be the case, and readers should be cautious. It must be recognized that (1) these companies are competitors so that there is a winner and loser in every purchasing decision a customer makes (positive earnings at one company means a competitor will have lost the sale), and (2) KLAC doesn’t compete with LRCX and only 7% of AMAT’s revenues that are in the metrology/inspection sector, according to The Information Network’s report entitled “Global Semiconductor Equipment: Markets, Market Shares and Market Forecasts.”

In Chart 2, I’ve illustrated how revenues of AMAT and LRCX move in tandem. The two companies compete strongly in deposition and etch. I presented a more granular analysis of these sectors for the two companies in an October 10, 2019, Seeking Alpha article entitled “Applied Materials Vs. Lam Research: Making A Choice Amid Headwinds.”

2020 will be a year geared to technology purchases for new designs such as FinFET successors, 5G, or 7nm designs with EUV lithography. This will benefit KLAC as these technologies will demand sophisticated metrology/inspection to guarantee high production yields.

Finally, readers must recognize that KLAC is the dominant company in the metrology/inspection sector. According to The Information Network’s report entitled “Metrology, Inspection, and Process Control in VLSI Manufacturing,” KLAC was the only company showing positive YoY growth in the sector, as shown in Chart 3. While there are nearly 20 subsectors detailed in the above report, KLAC competes in nearly all of them, which is the reason for its commanding market share lead in the sector.

Chart 3

This free article presents my analysis of this semiconductor equipment sector. A more detailed analysis is available on my Marketplace newsletter site Semiconductor Deep Dive. You can learn more about it here and start a risk free 2-week trial now.

Disclosure: I/we have no positions in any stocks mentioned, and no plans to initiate any positions within the next 72 hours. I wrote this article myself, and it expresses my own opinions. I am not receiving compensation for it (other than from Seeking Alpha). I have no business relationship with any company whose stock is mentioned in this article.

Be the first to comment

Leave a Reply

Your email address will not be published.


*