ASML Stock Q1 Review: Capacity Expansion Will Drive Growth (NASDAQ:ASML)

ASML-Firmenbüro im Silicon Valley

Michael Vi/iStock Editorial via Getty Images

Thesis

Amidst an ongoing semiconductor shortage and long-term secular growth trends emerging in every industry, ASML (NASDAQ:ASML) is poised to be one of the main beneficiaries. In this article, I will explain why ASML is a buy here and why it represents my largest position (11% allocation) in my portfolio.

ASML does machines for lithography, the third step of the semiconductor manufacturing process, as you can see in the graphic below (thanks to my friends from Best Anchor Stocks for the visualization). Lithography is the most critical step and an incredibly complicated process. The machines are transported by 3 airplanes, take 9 months to construct and cost upwards of $150 million each. ASML holds over 80% of the market share for the older Deep ultraviolet (DUV) technology and 100% of the market share for the next generation extreme ultraviolet (EUV) technology. Due to the incredible complexity of these machines, they don’t have any real competitors.

Competition in the DUV industry consists of Canon (CAJ) and Nikon (OTCPK:NINOY), which are technologically far behind and sell systems that produce wafers of much lower quality.

Semiconductor manufacturing steps

Semiconductor manufacturing steps (Best Anchor Stocks on Seeking Alpha Marketplace)

Macro

According to Industry Global News, the market for DUV lithography will grow from $11.6 billion in 2022 to $18 billion in 2028, a 9% CAGR. The other market ASML operates in is EUV, here Future Market Insights expects the market to grow from $4.6 billion by the end of 2021 to $23 billion by 2029, a 21.5% CAGR. This is in line with ASMLs expectations.

Earnings takeaways

I will now digest ASMLs reported earnings from the 20th of April. The following quotes will reference the earnings call transcript. Here are the key takeaways I want to iterate on:

  • I’ll go over the reported earnings and Q2/FY outlook
  • DUV capacity expansion and how the demand is looking
  • EUV capacity expansion and how more segments are starting to adopt the technology
  • I’ll talk about the supply chain issues that hinder the expansion

Digesting the “weak” earnings

From the raw numbers, Q1 looked very weak with sales, gross profit, gross margin and net income all declining QoQ and YoY. There is an easy explanation for this though and ASML already guided this last quarter: ASML started to offer fast-shipping to customers, where systems are shipped before they are recognized as revenue. The introduction of this new shipping method will skew results for the remainder of the year and while supply chains are disrupted.

Q1 22 results

Q1 22 results (ASML investor relations)

ASML is expecting Q2 to be around 5.1-5.3 billion Euro in sales, excluding the revenue recognition from fast shipments done in Q1. The company also reiterated its full-year outlook of 20% sales growth. Margins are expected to stay lower than in previous quarters, due to continued cost pressures. Especially energy costs are expected to impact gross margins by 1% for the full year. Overall, the quarter was slightly above expectations, surprising on EPS by 3.45% and on revenue by 2.33%.

ASML outlook

ASML outlook (ASML investor relation)

DUV capacity expansion

ASML shed more light on its capacity expansion plan which they announced on their last investor day in 2021. ASML currently has a capacity for 375 DUV systems annually and a backlog of over 500 DUV systems, so they are booked out well into the second half of 2023, with more orders coming in. As we can see from the quote from the Q1 earnings call below, they are seeing demand from all different semiconductor niches.

In our deep UV and applications business, we expect significant growth in both immersion and dry systems, as well as continued demand for metrology and inspection systems. In addition to advanced nodes, we see growing demand for deep UV systems supporting mature market segments, such as analog, power and sensors. These market segments are a part of the secular growth drivers in support of digital infrastructure, which includes automotive and green energy applications. We expect revenue growth of over 20% for non-EUV system revenue.

CEO Peter Wennink mentioned the mature market segments in this quote: I believe that these segments are very overlooked and I went into detail with my recent article about Texas Instruments (TXN). All eyes are on digital chips, but people seem to forget that these chips need data. This data gets converted from real-world signals into digital signals by analog chips. This further increases the demand for more chips and thus for ASMLs lithography machines. This also got reiterated in the following quote: Every existing customer is booking new systems.

Looking at the customer base, which effectively means that every customer we almost ever sold a machine to is coming to us to ask for a DPV tool, which was just particularly true for dry. So, it’s the current demand level plus our, let’s say, insights that have progressed over the last 12 months or so.

EUV capacity expansion with growing adoption

ASML expects to ship 55 systems this year. On their last investor day, they talked about expanding capacity up to 70 EUV systems in 2025. With the industry expecting a 21% CAGR until the end of the decade, the company now has plans to increase output to 90 EUV systems. ASML sees a faster than expected adoption of the EUV technology as CFO Roger Dassen mentions in the following quote (for context, High-NA is ASMLs next-generation machine which is estimated to be production-ready in 2025)

[…] 3D DRAM is an option. […] our customers are telling this in a public way, that whatever their roadmap is, what is clear is that EUV and High-NA have a particularly important role to play in that roadmap. […] They said even to go to DRAM, I think it was 8 nanometers of 5-nanometer that I talked about less than 5 nanometers. They need EUV and High-NA to get there.

Currently, most demand for EUV comes from the newest digital flagship chips, like the Apple (AAPL) M1 or the newest Nvidia (NVDA) GPU, but that will change going forward. A large portion of the semiconductor market is yet to make the change to EUV. ASML outlined how 3D DRAM production will adopt EUV and how even normal DRAM could see a change to EUV. Other product segments will follow.

ASML’s supplier problem

An important part to understand about ASML is that they don’t do most things themselves. They have a large network of suppliers with long-standing relationships, like ZEISS for example, the world leader in optics. This large network, on the one hand, an unsurmountable moat for competitors looking to compete, makes capacity expansion very difficult. ASML must coordinate with dozens of suppliers and align their plans as Peter Wennink mentions in this quote

I think we just had our, let’s say, a supplier day a couple of weeks ago and was very clear that all our suppliers confirmed that they said that this is the target that we should go for. It’s really a matter of them creating the executable plans to get to that capacity number by the timeframe that we asked.

In Q4 ASML expects to have details for the planned capacity expansion and if it is feasible for the supply chain.

Valuation

To value ASML I will take a look at the forward PE ratio and the FCF yield. ASML fell 30% from its highs in November. The PE ratio finally came back into attractive regions with the recent fall. Historically ASML is still trading at somewhat elevated levels if we look further back than 2020, but the industry received massive tailwinds in 2020 with the adoption of future technologies like 5G, EV and cloud computing accelerating. This has led to a higher expected growth rate for the industry and justifies the higher valuation levels in my opinion. On a Free Cash Flow basis, ASML is looking very attractive at a 4.4% yield. We could see multiples contracting more of course, but I believe this to be a good place to add to a position.

ASML valuation

ASML valuation (Koyfin)

Conclusion

ASML is a world-class company with a very deep and widening moat in the industry that fuels all future technology megatrends. Due to its monopolistic market position and good management, I believe that ASML will continue to outperform the market in the coming years. Over the last 2 months, I have continuously added to my position between $550-650 and I will continue to do so while we are in this attractive range for a long-term hold.

Be the first to comment

Leave a Reply

Your email address will not be published.


*