Veeco Coming Through With Orders And Strengthening Its Enabling Tools Argument

metamorworks/iStock via Getty Images

Small-cap semiconductor tools company Veeco Instruments (VECO) continues to do its part, delivering better than expected revenue and order growth and strengthening its case as a supplier of important enabling tools for leading-edge semiconductor production. What’s changed since my last update is the extent to which the Street has taken notice – Veeco shares have climbed around 30% since that last article, handily beating larger tool companies like ASML (ASML), Applied Materials (AMAT), and Lam Research (LRCX).

I continue to like where Veeco is positioned. The company is gaining traction with its laser spike annealing (or LSA) tools and could see further adoption in memory chip production, and the company is likewise well-positioned with its ion beam and MOCVD tools in other growth markets. Valuation isn’t quite as compelling as it was 30% ago, but I still see double-digit annualized return potential here and the possibility of further positive revisions to guidance/expectations.

Healthy Demand And Impressive Operating Leverage

Investors not already familiar with Veeco may be a little concerned about the reported revenue results, as there were big positive and negative swings on year-over-year and quarter-over-quarter comparisons, but this is nothing new with the company. Given Veeco’s size, selling one or two additional (or fewer) tools can make a noticeable difference, but the underlying trends remain quite positive.

Revenue rose 10% year over year and about 2% quarter over quarter, good for a small beat relative to expectations. The Semiconductor segment saw 14% YoY growth and a 14% QoQ decline, with the company shipping multiple LSA and advanced packaging (or AP) tools in the quarter. Compound Semiconductor revenue declined 22% YoY, but rose 49% QoQ, with multiple MOCVD tools shipped for customers in the photonics and RF spaces. Data Storage revenue rose 89% YoY and declined 8% QoQ, with an expected slowdown in tool purchases from hard drive manufacturers. Scientific & Other revenue fell 6% YoY and rose 45% QoQ.

Gross margin improved more than a point YoY and declined about 20bp QoQ to 42.4%, beating expectations by 30bp. While Veeco’s gross margin is often driven by mix, I was nevertheless pleased to see no major unexpected impact from supply chain pressures. Operating income rose 41% YoY and almost 3% QoQ, beating expectations by more than a third, with margin up 360bp to 16.3% and beating by four points on much improved operating efficiency.

Veeco saw healthy orders in the quarter and exited with $440M in the backlog (or close to three quarters worth of revenue).

Ongoing Wins Can Drive The Story

On the same day as the earnings release, management announced a meaningful win with its LSA business – adding a third logic customer. Based on past commentary, I would expect the new customer is Intel (INTC), and this is a meaningful win for Veeco. With this addition and prior wins with TSMC (TSM) and Samsung, LSA should be the major driver for Veeco in 2022 with production growth at advanced nodes, but I wouldn’t rule out the possibility of winning some business with memory chip manufacturers as well.

In addition to the LSA win, and just prior to the earnings announcement, Veeco also announced that another EUV mask blank manufacturer had ordered their Ion Beam Deposition tool. This further establishes Veeco’s leadership in the space, and its one that I expect will continue to grow as EUV is an enabling technology for the most advanced nodes. Here, too, there could be some volume upside down the road tied to EUV adoption in memory production.

That’s not the end of the story where wins are concerned, though.

I expect that Veeco will ship its first Ion Beam Deposition tool to a semiconductor customer in FY’22, and this is an interesting growth opportunity to watch. Veeco’s IBD tool can be used to produce the barrier films for metal interconnects; while PVD tools have traditionally been used for this, and IBD is slower, the IBD tool can produce thinner films and this should be significant as architectures continue to shrink.

In MOCVD, I expect to see future wins for power chips using GaN technology, as well as microLEDs. With uses in advanced display roles like virtual reality and heads-up displays for autos, microLEDs are a potentially significant growth market for Veeco, but one where I see more uncertainty around the adoption curve. I’m actually more bullish on the opportunities in GaN power, though management expects considerably more growth from microLEDs than GaN.

A Pause In Storage

In my prior update on Veeco, I was concerned about the risk of a growth “gully” in 2022 (a slower growth rate, not actual contraction) due weaker demand from storage drive customers. That weakness is still set to show up in the FY’22 financials, but growth from other lines like LSA and IBD tools has picked up to more than fill the gap.

There’s nothing fundamentally wrong with the storage business; this remains a market with attractive long-term growth characteristics and one where Veeco is effectively a monopoly supplier. All that’s happening now is that hard drive manufacturers like Seagate (STX) and Western Digital (WDC) are “digesting” past capex additions. Still, with Intevac (IVAC) noting that capacity utilization among the storage manufacturers was around 90%, I won’t be surprised to see more announcements of capacity expansion late in FY’22, which should fuel better results for Veeco in FY’23.

The Outlook

In the near term, the LSA business is set to perform quite well, as is the AP tool business, with fabs and outsourced packaging providers scrambling to add capacity. I expect solid growth for IBD tools for EUV mask blanks, as well as MOCVD tools for RF and photonics production. IBD tool placements for semiconductor production, memory-driven LSA and IBD EUV tool demand, and MOCVD tool demand for GaN power and microLED production are longer-term opportunities that could contribute to growth in FY’24 and beyond, but not so much for FY’22 or FY’23.

With the improvements in Veeco’s business, my revenue estimates for the next two years are now about 8% and 11% higher, respectively, and the long-term revenue growth rate moves up closer to 7% as a result of those and other modeling changes. I do worry about a drop off in tool demand after today’s supply crunch is resolved (the semiconductor industry has never been particularly good about smoothly ramping capacity), but underlying ongoing growth in chip production volume and Veeco’s leverage to leading-edge enabling technologies should mitigate a lot of that risk.

On the margin side, I’m looking for EBITDA margin about two points higher in 2022, and I’m still expecting FCF margins to scale up into the double-digits, helping to drive double-digit FCF growth.

The Bottom Line

Between both discounted long-term cash flow and shorter-term margin-driven EV/revenue, I believe Veeco shares are undervalued and priced for a double-digit near-term return and very high single-digit to low double-digit long-term annualized total returns. I believe management has assembled a quality portfolio of leading-edge enabling production tools, and I think these shares still have appeal for investors willing to take on some risk in pursuit of growth.

Be the first to comment

Leave a Reply

Your email address will not be published.


*