TSMC: Top Foundry As Computing, Automotive Chip Demand Rises (NYSE:TSM)

View of the Taiwan Semiconductor Manufacturing Company (TSMC) plant.

BING-JHEN HONG/iStock Editorial via Getty Images

For the second quarter, Taiwan Semiconductor Manufacturing Co. (TSMC) (NYSE:TSM) reported that revenue jumped 36% to NT$491.1 billion ($17 billion) in the three months through March 2022. Analysts had estimated NT$469.4 billion on average.

For the second quarter, TSMC forecast revenue of $17.6 billion to $18.2 billion. The midpoint of $17.9 billion tops Wall Street’s consensus estimate of $17.3 billion. TSMC predicted a gross profit margin of 56% to 58% in the June quarter. That’s up from 55.6% in the March quarter.

TSMC also reported that by platform, Smartphone and HPC (High Performance Computing) represented 40% and 41% of net revenue, respectively, in 1Q22, while IoT, Automotive, DCE, and Others each represented 8%, 5%, 3%, and 3%. HPC, and Automotive expects 2Q22 business to be supported by HPC and automotive-related demand, partially offset by smartphone seasonality.

As shown in Chart 1, in 2021, YoY revenue growth for the HPC platform was 40%. Automotive increased revenues 64% YoY.

TSMC revenues by platform

TSMC

Table 2 compares TSMC’s top 10 customers for 2015, 2020 and 2021. We see the growth of Advanced Micro Devices (AMD) as a leading customer, and the drop in Hi-Silicon, which was the second-largest customer in 2020 and dropped to 0.0% in 2021 due to the U.S. restriction of commercial transactions with its parent company Huawei. Revenues for the top 10 companies increased 17% in 2021 following a 39% YoY in 2020.

top 10 tsmc customers

TSMC

Table 3 shows TSMC’s revenue by technology node, with 30% of revenues coming from wafers at the 7nm node in 1Q22. Revenue at the 5nm node represented 20% of revenues in 1Q22.

Apple’s A15 Bionic chip, used in the iPhone 13, uses the 5nm process. Qualcomm (QCOM), MediaTek (OTCPK:MDTKF), and Nvidia (NVDA) continue to expand their orders in the 5nm family.

Wafer revenue by technology

TSMC

Apple (AAPL) is expected to release the majority of its devices with 3nm chips fabricated by TSMC in 2023, including Macs with M3 chips and iPhone 15 models with A17 chips. Intel (INTC) and Bitmanu, an ASIC manufacturing startup, ware also planned N3 customers.

TSMC’s N3E (enhanced) node will further extend its N3 family with enhanced performance, power and yield. Volume production is scheduled for one year after N3, and I expect Apple, Intel, MediaTek and Qualcomm are planned N3E customers.

Table 4 shows estimates of TSMC’s ASP (average selling price) by node, according our report entitled “Global Semiconductor Equipment: Markets, Market Share, Market Forecast.”

In 2021, the ASP was $10,775 for 7nm wafers and $14,104 for 5nm wafers. In 2023 during full production, 3nm wafers will be priced at $19,865. I anticipate prices will decrease 5%/year following the start of full production.

TSMC wafer prices by node

The Information Network

Investor Takeaway

Table 5 shows the process roadmaps for the three foundries.

First introduced by the major foundries around the 2020 timeframe, the 5-nm process technology is characterized by extensive use of EUV for the critical dimensions, along with quad patterning for the fins and double patterning for the rest of the metal stack. The Intel 7 nm process is comparable to the foundry 5-nanometer node.

TSMC will mass-produce 3nm process in the fourth quarter of 2022, and plans to introduce GAA (Gate All Around) technology when it enters the 2nm process and start mass production of 2nm process chips in 2024.

Foundry process roadmap

The Information Network

Samsung Electronics’ (OTC:SSNLF) 3nm process uses GAA design with MBCFET (Multi-Bridge-Channel FET) for up to 35% decrease in package area, 30% higher performance, or 50% lower power consumption compared to its 5nm EUV process.

Samsung revealed earlier that in the first half of 2022 it will launch the first generation of 3nm 3GAE technology (3nm gate-all-around early).

Intel stayed in the 14nm process for seven years, and it was not until 2019 that they really mass-produced 10nm, which was equivalent to the number of transistors in TSMC 7nm process. To catch up to TSMC and Samsung, Intel plans an aggressive roadmap of four nodes in three years.

In Chart 1, I show quarterly gross profit margin for a three-year period for foundry competitors TSMC, Intel, and Samsung Electronics. Gross margin reported by TSMC for 1Q22 (not yet included in the YChart), was 55.6%, 2.9 percentage points higher than 4Q21 and 3.2 percentage points higher than 1Q21. Here we see the positive impact of <7 nm nodes for TSMC.

TSMC gross profit margin vs peers

YCharts

Chart 1

Chart 2 shows TSMC’s stock price change over the past one year and the 10-year treasury rate. Here we see the inverse correlation between the rise of the 10-year treasury and the drop in TSMC share price.

Although analysts at Morningstar found minimal correlation between the 10-year treasury and technology stocks over a 15-year period, since January 2022 the rise in the 10-year due to inflation fears has significantly impacted technology stocks and TSMC.

TSMC price % change

YCharts

Chart 2

Seeking Alpha ratings for TSMC, Intel, and Samsung are shown in Chart 3. Quant Ratings have TSM and INTC a hold, but analysts have TSM as a buy.

TSM ratings

Seeking Alpha

Chart 3

Seeking Alpha’s Quant Rankings are shown in Chart 4. TSM has a sector ranking of 116 out of 588 companies and a Semiconductor Industry ranking of 29 out of 62 companies both ahead of INTC.

chart

Seeking Alpha

Chart 4

Chart 5 shows Seeking Alpha’s Quant Factor Grades, giving TSM a higher grade than INTC.

quant factor grades

Seeking Alpha

Chart 5

Keep in mind that the TSM values are for its total business, which is exclusively a pure-play foundry (only making chips for customers). Intel’s chips are currently produced for its internal business, as its foundry business is in its infancy. SSNLF data are for total business, but that business is not only foundry, but memory, displays, smartphones, and consumer products.

TSMC is in a league of its own, with more than a 50% share of the overall pure-play foundry business and a 60% share of <7nm node capacity. Strong demand for HPC and Automotive chips (with the transition to EVs), along with a planned $42.6 billion capex spend in 2022 will keep TSMC a strong buy through 2022.

Be the first to comment

Leave a Reply

Your email address will not be published.


*